免费高清特黄a大片,九一h片在线免费看,a免费国产一级特黄aa大,国产精品国产主播在线观看,成人精品一区久久久久,一级特黄aa大片,俄罗斯无遮挡一级毛片

分享

Chiplet,真的萬事俱備了嗎?

 liuaqbb 2022-03-13
近日,英特爾與AMD、Arm、日月光、Google Cloud、Meta、微軟、高通、三星電子和臺(tái)積電等十大行業(yè)巨頭宣布成立 UCIe 產(chǎn)業(yè)聯(lián)盟,共同打造Chiplet互連標(biāo)準(zhǔn)、推進(jìn)開放生態(tài),并制定了標(biāo)準(zhǔn)規(guī)范“UCIe”。

文章圖片1

UCIe 產(chǎn)業(yè)聯(lián)盟當(dāng)前成員

UCIe標(biāo)準(zhǔn)的全稱為“UniversalChiplet Interconnect Express”,旨在芯片封裝層面確立互聯(lián)互通的統(tǒng)一標(biāo)準(zhǔn)。
借此,Chiplet或?qū)⒃跇?biāo)準(zhǔn)和生態(tài)層面掀開新篇章。

Chiplet:延續(xù)摩爾定律的新法寶

解讀UCIe,繞不開Chiplet。
Chiplet俗稱芯粒,也叫小芯片,它是將一類滿足特定功能的die(裸片),通過die-to-die內(nèi)部互聯(lián)技術(shù)實(shí)現(xiàn)多個(gè)模塊芯片與底層基礎(chǔ)芯片封裝在一起,形成一個(gè)系統(tǒng)芯片,以實(shí)現(xiàn)一種新形式的IP復(fù)用。
當(dāng)前,主流的系統(tǒng)級(jí)芯片都是將多個(gè)負(fù)責(zé)不同類型計(jì)算任務(wù)的計(jì)算單元,通過光刻的形式制作到同一塊晶圓上。以旗艦級(jí)智能手機(jī)的SoC芯片為例,基本都集成了CPU、GPU、DSP、ISP、NPU、Modem等眾多不同功能的計(jì)算單元,以及諸多的接口IP,追求的是高度集成化,利用先進(jìn)制程對(duì)于所有的單元進(jìn)行全面的提升。
而隨著半導(dǎo)體工藝制程持續(xù)向3nm/2nm推進(jìn),晶體管尺寸已經(jīng)越來越逼近物理極限,所耗費(fèi)的時(shí)間及成本越來越高,同時(shí)所能夠帶來的“經(jīng)濟(jì)效益”的也越來越有限,“摩爾定律”日趨放緩。在此背景下,Chiplet被業(yè)界寄予厚望,或?qū)牧硪粋€(gè)維度來延續(xù)摩爾定律的“經(jīng)濟(jì)效益”。

文章圖片2


Chiplet是將原本一塊復(fù)雜的SoC芯片,從設(shè)計(jì)時(shí)就按照不同的計(jì)算單元或功能單元對(duì)其進(jìn)行分解,然后每個(gè)單元選擇最適合的工藝制程進(jìn)行制造,再將這些模塊化的裸片互聯(lián)起來,通過先進(jìn)封裝技術(shù),將不同功能、不同工藝制造的Chiplet封裝成一個(gè)SoC芯片。
對(duì)于Chiplet技術(shù)的發(fā)展和興起,摩爾精英CEO張競(jìng)揚(yáng)認(rèn)為,這既是技術(shù)發(fā)展需要,也是經(jīng)濟(jì)規(guī)律的驅(qū)動(dòng)。如今單品出貨上億的手機(jī)SoC研發(fā)成本往往達(dá)到10億美金以上,而物聯(lián)網(wǎng)細(xì)分領(lǐng)域的出貨和利潤難以覆蓋這樣的研發(fā)投入。為此,芯片產(chǎn)業(yè)正在積極探索在單個(gè)封裝里實(shí)現(xiàn)分解SoC,多芯片異構(gòu)集成的Chiplet技術(shù),來平衡這種研發(fā)投入上升和出貨量下降之間的矛盾。
從其技術(shù)特點(diǎn)和當(dāng)前進(jìn)展綜合來看,Chiplet的優(yōu)勢(shì)可以歸結(jié)為幾個(gè)方面:
Chiplet可以大幅提高大型芯片的良率。近年來,隨著高性能計(jì)算、AI等方面的巨大運(yùn)算需求,集成更多功能單元和更大的片上存儲(chǔ)使得芯片不僅晶體管數(shù)量暴增,芯片面積也急劇增大。芯片良率與芯片面積有關(guān),隨著芯片面積的增大而下降,掩模尺寸700mm2的設(shè)計(jì)通常會(huì)產(chǎn)生大約30%的合格芯片,而150mm2芯片的良品率約為80%。因此,通過Chiplet設(shè)計(jì)將大芯片分成更小的芯片可以有效改善良率,同時(shí)也能夠降低因?yàn)椴涣悸识鴮?dǎo)致的成本增加。
Chiplet可以降低設(shè)計(jì)的復(fù)雜度和設(shè)計(jì)成本。因?yàn)槿绻谛酒O(shè)計(jì)階段,就將大規(guī)模的SoC按照不同的功能模塊分解為一個(gè)個(gè)的芯粒,那么部分芯??梢宰龅筋愃颇K化的設(shè)計(jì),而且可以重復(fù)運(yùn)用在不同的芯片產(chǎn)品當(dāng)中。這樣不僅可以大幅降低芯片設(shè)計(jì)的難度和設(shè)計(jì)成本,同時(shí)也有利于后續(xù)產(chǎn)品的迭代,加速產(chǎn)品的上市周期。而且,把SoC拆分成幾個(gè)關(guān)鍵的“Chiplet”,讓每顆Chiplet能夠同時(shí)出貨到10種甚至更多的應(yīng)用中去平衡研發(fā)成本,能夠避免一顆大SoC芯片設(shè)計(jì)出來后沒有足夠出貨量帶來的巨大損失。
Chiplet還能降低芯片制造的成本。一顆SoC當(dāng)中有著不同的計(jì)算單元,同時(shí)也有SRAM、各種I/O接口、模擬或數(shù)?;旌显@其中主要是邏輯計(jì)算單元通常依賴于先進(jìn)制程來提升性能,而其他的部分對(duì)于制程工藝的要求并不高,有些即使采用成熟工藝,也能夠發(fā)揮很好的性能。所以,將SoC進(jìn)行Chiplet化之后,不同的芯??梢愿鶕?jù)需要來選擇合適的工藝制程分開制造,然后再通過先進(jìn)封裝技術(shù)進(jìn)行組裝,不需要全部都采用先進(jìn)的制程在一塊晶圓上進(jìn)行一體化制造,這樣可以極大的降低芯片的制造成本。
在多種優(yōu)勢(shì)因素以及市場(chǎng)發(fā)展趨勢(shì)的驅(qū)動(dòng)下,AMD、臺(tái)積電、英特爾、英偉達(dá)等芯片巨頭廠商嗅到了這個(gè)領(lǐng)域的市場(chǎng)機(jī)遇,近年來開始紛紛入局Chiplet。AMD最新幾代產(chǎn)品都極大受益于“SiP + Chiplet”的異構(gòu)系統(tǒng)集成模式;另外,近日蘋果最新發(fā)布的M1 Ultra芯片也通過定制的UltraFusion封裝架構(gòu)實(shí)現(xiàn)了超強(qiáng)的性能和功能水平,包括2.5TB/s的處理器間帶寬??萍季揞^的動(dòng)態(tài)和布局,無一不反映著如今Chiplet技術(shù)正在得到行業(yè)內(nèi)的認(rèn)可和重視。
據(jù)Omdia報(bào)告,預(yù)計(jì)到2024年,Chiplet市場(chǎng)規(guī)模將達(dá)到58億美元,2035年則超過570億美元,市場(chǎng)規(guī)模將迎來快速增長。

文章圖片3

2018-2024年Chiplet市場(chǎng)規(guī)模趨勢(shì)(圖源:Omdia)

然而,雖然有諸多優(yōu)勢(shì)加持,但與所有新技術(shù)一樣,Chiplet也面臨不少挑戰(zhàn),受限于不同架構(gòu)、不同制造商生產(chǎn)的die之間的互連接口和協(xié)議的不同,設(shè)計(jì)者必須考慮到工藝制程、封裝技術(shù)、系統(tǒng)集成、擴(kuò)展等諸多復(fù)雜因素。同時(shí)還要滿足不同領(lǐng)域、不同場(chǎng)景對(duì)信息傳輸速度、功耗等方面的要求,使得Chiplet的設(shè)計(jì)過程異常艱難。而解決這些問題的最大挑戰(zhàn)就是缺少統(tǒng)一的互連標(biāo)準(zhǔn)協(xié)議。
由于 Chiplet 設(shè)計(jì)涉及不同廠商的設(shè)計(jì) IP 和制程技術(shù),想要真正地利用模組化架構(gòu)的潛力,就需要一個(gè)開放的生態(tài)系統(tǒng)。與此同時(shí),被廣泛接受的互連標(biāo)準(zhǔn),也是讓設(shè)備獲得更廣泛驗(yàn)證、合規(guī)性和互操作性必要步驟。
此前,眾多的芯片廠商都在推自己的互聯(lián)標(biāo)準(zhǔn),比如Marvell在推出模塊化芯片架構(gòu)時(shí)采用了Kandou總線接口;英偉達(dá)用于GPU的高速互聯(lián)NV Link方案;英特爾免費(fèi)向外界授權(quán)的AIB高級(jí)接口總線協(xié)議;臺(tái)積電和Arm合作推出的LIPINCON協(xié)議;AMD也有Infinity Fabrie總線互聯(lián)技術(shù),以及用于存儲(chǔ)芯片堆疊互聯(lián)的HBM接口等等。
可以看到,這些芯片巨頭們?cè)诜e極探索Chiplet技術(shù),但同時(shí)大家又各自為戰(zhàn),推動(dòng)自己的高速互聯(lián)協(xié)議標(biāo)準(zhǔn)。有業(yè)內(nèi)專家指出,不同工藝、功能和封裝的芯片之間沒有統(tǒng)一的通信接口,會(huì)造成嚴(yán)重的資源浪費(fèi)。
隨著Chiplet逐步發(fā)展,未來來自不同廠商的芯粒之間的互聯(lián)需求必然會(huì)爆發(fā)。因此,在技術(shù)成熟和形成商業(yè)潮流之前,行業(yè)廠商需要搭起一座Chiplet互聯(lián)接口標(biāo)準(zhǔn)化的“橋梁”。
這也就是文章開頭提到的UCIe聯(lián)盟成立以及UCIe標(biāo)準(zhǔn)推出的市場(chǎng)環(huán)境和技術(shù)基礎(chǔ)。

UCIe:Chiplet 互聯(lián)標(biāo)準(zhǔn)的關(guān)鍵一步

UCIe是一種開放規(guī)范,它定義了封裝內(nèi)Chiplet之間的互連,該標(biāo)準(zhǔn)意味著Chiplet的接口將標(biāo)準(zhǔn)化,用戶可以從多個(gè)晶圓代工廠獲得構(gòu)建Chiplet的小芯片,實(shí)現(xiàn)真正的混合配置,打破了各公司之間的壁壘,降低了復(fù)雜芯片的開發(fā)成本。
據(jù)白皮書介紹,UCIe提供了物理層裸片間通信的電氣信號(hào)、時(shí)鐘標(biāo)準(zhǔn)、物理通道數(shù)量等規(guī)范,至于標(biāo)準(zhǔn)所屏蔽的具體物理實(shí)現(xiàn)結(jié)構(gòu)則不做限制,為了適配不同廠商的封裝方法,還專門劃分出針對(duì)“標(biāo)準(zhǔn)封裝”、“先進(jìn)封裝”的不同標(biāo)準(zhǔn)。

文章圖片4


標(biāo)準(zhǔn)封裝方法對(duì)應(yīng)于只需要以具有成本效益的方式將兩個(gè)小芯片組合在一個(gè)封裝上的芯片。對(duì)于需要使多個(gè)小芯片的性能盡可能接近單芯片的芯片制造商來說,先進(jìn)的封裝規(guī)范允許大量通道,從而提供大量帶寬。
據(jù)資料介紹,UCIe是一種分層協(xié)議,具有物理層和die-to-die適配器。物理層可以包含來自多家不同公司當(dāng)前所有類型的封裝選項(xiàng),包括標(biāo)準(zhǔn)2D封裝和更先進(jìn)的2.5D封裝。隨著3D芯片封裝的推出,UCIe標(biāo)準(zhǔn)還需不斷升級(jí),未來也將最終擴(kuò)展到3D封裝互連。
UCIe的協(xié)議層運(yùn)行在物理層之上,最初的規(guī)范依賴于PCIe和開放的CXL協(xié)議。據(jù)了解,該標(biāo)準(zhǔn)最初由英特爾提議并制定,后開放給業(yè)界共同制定而成。PCIe和CXL已經(jīng)經(jīng)過了多重的考驗(yàn),可以提供可靠的數(shù)據(jù)傳輸和鏈路管理,以及緩存一致性等額外的定制功能。
這意味著UCIe標(biāo)準(zhǔn)正在以一個(gè)完整且經(jīng)過充分驗(yàn)證的協(xié)議層開始運(yùn)行,PCIe協(xié)議提供廣泛的互操作性和靈活性;而CXL可用于更高級(jí)的低延遲/高吞吐量連接,如內(nèi)存、I/O以及GPU和ASIC等加速器、緩存。雖然該規(guī)范以PCIe和CXL作為當(dāng)前協(xié)議開始,但將來會(huì)擴(kuò)展到包括其他協(xié)議,UCIe支持允許使用任何其他協(xié)議的原始/流式協(xié)議選項(xiàng)。
借助成熟的 PCIe 和 CXL 行業(yè)標(biāo)準(zhǔn),該產(chǎn)業(yè)聯(lián)盟發(fā)布了涵蓋上述標(biāo)準(zhǔn)的UCIe1.0 規(guī)范。UCIe1.0 只是一個(gè)開始,借助UCIe的平臺(tái),巨頭們將打造更加完整的Chiplet生態(tài)系統(tǒng)。UCIe聯(lián)盟在官網(wǎng)上公開表示,該聯(lián)盟需要更多半導(dǎo)體企業(yè)的加入,來打造更全面的Chiplet生態(tài)系統(tǒng)。同時(shí),加盟的芯片企業(yè)越多,意味著該標(biāo)準(zhǔn)將得到更多的認(rèn)可,也有機(jī)會(huì)被更廣泛的采用。
總體來看,UCIe標(biāo)準(zhǔn)出現(xiàn)的最大意義在于,巨頭們合力搭建起了統(tǒng)一的Chiplet互聯(lián)標(biāo)準(zhǔn),讓終端使用者打造SoC芯片時(shí),可以自由搭配來自多個(gè)廠商生態(tài)系統(tǒng)中的小芯片零件,這將加速推動(dòng)開放的Chiplet平臺(tái)發(fā)展,并橫跨x86、Arm、RISC-V等架構(gòu)和指令集。
對(duì)此,電子科技大學(xué)黃樂天副教授向半導(dǎo)體行業(yè)觀察表示,UCIe標(biāo)準(zhǔn)的推出意味著英特爾繼CXL之后,在芯片級(jí)推動(dòng)異構(gòu)計(jì)算的道路上邁出了非常堅(jiān)實(shí)的一步,其意義在于圍繞核心處理器之外形成異構(gòu)加速器Chiplet設(shè)計(jì)生態(tài),吸引專用加速器以Chiplet的形式和CPU生態(tài)系統(tǒng)進(jìn)行融合。但標(biāo)準(zhǔn)這種東西向來是依靠商業(yè)競(jìng)爭(zhēng)剩者為王的,英特爾主導(dǎo)了很多標(biāo)準(zhǔn)但也并不是推一個(gè)就能成一個(gè)。
另一方面,對(duì)行業(yè)帶來的最大影響在于促進(jìn)Chiplet從“清談”向“實(shí)操”邁進(jìn),從“各家各戶自說自話”向“組隊(duì)廝殺邁進(jìn)”。尤其是對(duì)于國內(nèi)而言,炒概念、搞論壇、搖旗子、圈地盤的虛招提早結(jié)束了,考慮到當(dāng)前日趨緊張和復(fù)雜的國際形勢(shì),到了需要踏踏實(shí)實(shí)考慮如何整合力量走好“具有中國特色的Chiplet之路”的時(shí)候了。黃教授套用了一句經(jīng)久不衰的名言說道:“留給中國隊(duì)的時(shí)間不多了?!?/span>

Chiplet萬事俱備了嗎?

隨著UCIe標(biāo)準(zhǔn)的推出,IP公司將從Chiplet生態(tài)中獲得更多機(jī)會(huì)。一方面,UCIe對(duì)于IP供應(yīng)商意味著新的生意,不管是芯片內(nèi)部傳輸,還是在機(jī)箱中增加UCIe接口,都增加了更多可能性。
不過,對(duì)于IP廠商來說,最大的機(jī)會(huì)更源自于IP的芯片化,即一些半導(dǎo)體IP核以硅片的形式提供,IP就是“Chiplet”,旨在以Chiplet的形式實(shí)現(xiàn)IP的“即插即用”和“重復(fù)利用”, 不同功能的 IP,如 CPU、存儲(chǔ)器、模擬接口等,可靈活選擇不同的工藝分別進(jìn)行生產(chǎn),以靈活平衡原有先進(jìn)制程工藝芯片面臨的性能與成本的矛盾,并降低較大規(guī)模芯片的設(shè)計(jì)時(shí)間和風(fēng)險(xiǎn),實(shí)現(xiàn)從SoC中的IP到SiP封裝中以獨(dú)立的芯粒形式呈現(xiàn)的IP。

文章圖片5


另一方面,從上文提到的AMD、蘋果推出CPU/GPU等芯片產(chǎn)品可以看到,高性能SoC都能應(yīng)用到Chiplet技術(shù),這意味著物聯(lián)網(wǎng)市場(chǎng)中大量產(chǎn)品都將有機(jī)會(huì)以“Chiplet+2.5D/3D”形態(tài)存在,芯片產(chǎn)業(yè)的協(xié)作模式需要調(diào)整來適應(yīng)這一趨勢(shì)。面對(duì)市場(chǎng)對(duì)Chiplet集成的需求,特別是大量客戶有做多品類、小批量的封裝需求,給封測(cè)廠商以及具有供應(yīng)鏈整合能力的企業(yè)帶來了機(jī)會(huì)。
張競(jìng)揚(yáng)表示,正是看到了這樣的市場(chǎng)需求和機(jī)遇,摩爾精英自2018年起自建封測(cè)基地,為客戶提供從封裝設(shè)計(jì)、仿真到工程批制作,再到量產(chǎn)管理的封裝解決方案。與此同時(shí),摩爾精英打造的芯片設(shè)計(jì)平臺(tái),聚合了多家具有特定優(yōu)勢(shì)的設(shè)計(jì)服務(wù)和IP公司,最大化地發(fā)揮每一方的優(yōu)勢(shì),并在提供解決方案的過程中積累裸片資源,促成多方協(xié)作的產(chǎn)品創(chuàng)新。
雖然Chiplet正展現(xiàn)出諸多好處和市場(chǎng)潛力,但是要充分發(fā)揮其效力,仍面臨著一些需要解決的難題和挑戰(zhàn)。其中,解決互聯(lián)標(biāo)準(zhǔn)只是第一步。技術(shù)層面,Chiplet 還面臨著來自先進(jìn)封裝、測(cè)試、軟件配合等多個(gè)方面的挑戰(zhàn)。

先進(jìn)封裝


解決互聯(lián)只是第一步,要將Chiplet真正結(jié)合在一起,最終還要依靠先進(jìn)封裝。
目前臺(tái)積電擁有CoWoS/InFO、英特爾擁有EMIB、Fovores 3D等,Chiplet使用的先進(jìn)封裝多種多樣。UCIe1.0標(biāo)準(zhǔn)沒有涵蓋用于在小芯片之間提供物理鏈接的封裝/橋接技術(shù)。在UCIe的定義中,Chiplet可以通過扇出封裝、硅中介層、EMIB連接,甚至可以通過一個(gè)普通的有機(jī)基板連接。只要一個(gè)UCIe小芯片符合標(biāo)準(zhǔn)(包括凸塊間距),它就可以與另一個(gè) UCIe 小芯片通信。
未來隨著Chiplet 技術(shù)的發(fā)展終究會(huì)使小芯片間的互聯(lián)達(dá)到更高的密度,要應(yīng)對(duì)先進(jìn)封裝功能和密度的不斷提升,散熱、應(yīng)力和信號(hào)傳輸?shù)榷际侵卮蟮目简?yàn)。目前頭部的IDM廠商、晶圓代工廠以及封測(cè)企業(yè)都在積極推動(dòng)不同類型的先進(jìn)封裝技術(shù),以搶占這塊市場(chǎng)。

芯片測(cè)試

對(duì)于Chiplet來說,將一顆大的SoC芯片拆分成多個(gè)芯粒,相較于測(cè)試完整芯片難度更大,尤其是當(dāng)測(cè)試某些并不具備獨(dú)立功能的Chiplet 時(shí),測(cè)試程序更為復(fù)雜。英特爾創(chuàng)新科技前總經(jīng)理謝承儒曾表示,以目前芯片復(fù)雜程度與更復(fù)雜的封裝等,需要相對(duì)應(yīng)測(cè)試技術(shù),這就像閉眼在森林中跑步一樣,會(huì)非常困難。眾多芯粒的測(cè)試需要在晶圓階段完成,這就需要更多的探針來同時(shí)完成測(cè)試。特別是對(duì)于3D IC來說,從外部來看,其內(nèi)部就是一個(gè)“黑盒子”,測(cè)試探針只能通過表面的一些點(diǎn)來獲取有限的數(shù)據(jù)量,這也給對(duì)于3D IC的分析測(cè)試帶來了很大的挑戰(zhàn)。
同時(shí),為了提升合封后的整體良率,Chiplet集成也對(duì)測(cè)試和質(zhì)量管控提出了更高的要求,包括互連線路的信號(hào)質(zhì)量驗(yàn)證、互操作性功能驗(yàn)證、測(cè)試覆蓋率等考慮,此外也對(duì)晶圓級(jí)CP與Chiplet合封后成品FT測(cè)試流程和測(cè)試設(shè)備提出更高挑戰(zhàn)。張競(jìng)揚(yáng)表示:“摩爾精英的ATE測(cè)試機(jī)臺(tái)凝聚了頂尖IDM公司二十多年來的研發(fā)成果,并在過去數(shù)百億顆芯片的測(cè)試實(shí)踐中中積累了寶貴的經(jīng)驗(yàn),幫助客戶應(yīng)對(duì)Chiplet模式下的芯片測(cè)試在效率、成本和質(zhì)量的挑戰(zhàn)?!?/span>

系統(tǒng)設(shè)計(jì)復(fù)雜度

對(duì)于芯片設(shè)計(jì)來說,雖然無需再去設(shè)計(jì)復(fù)雜的大芯片,但是將SoC分解Chiplet化,并將其整合到一個(gè)2.5D/3D封裝當(dāng)中,會(huì)帶來系統(tǒng)復(fù)雜度的大幅提升,在系統(tǒng)設(shè)計(jì)方面存在較大挑戰(zhàn)。
相對(duì)原有的2D單芯片來說,Chiplet與2.5D/3D封裝結(jié)合,其內(nèi)部各個(gè)芯粒可能采用的是不同的制程工藝,不同架構(gòu),同時(shí)還需要加入高速互聯(lián)總線,接口IP、HBM內(nèi)存,各個(gè)模塊可能還需要用到不同的材料進(jìn)行互聯(lián)。因此,在芯片設(shè)計(jì)的時(shí)候,就需要將內(nèi)部封裝的各個(gè)模塊看成一個(gè)整體的系統(tǒng),需要一開始就要考慮到整個(gè)系統(tǒng)層級(jí)的設(shè)計(jì)和優(yōu)化。

EDA工具等軟件配合

Chiplet 的設(shè)計(jì)制造需要 EDA 軟件從架構(gòu)到實(shí)現(xiàn)再到物理設(shè)計(jì)全方位進(jìn)行支持,另外各個(gè) Chiplet 的管理和調(diào)用也需要業(yè)界統(tǒng)一的標(biāo)準(zhǔn)。目前,Chiplet技術(shù)缺乏相關(guān)的EDA工具鏈,以及完整且可持續(xù)性的生態(tài)系統(tǒng)。
技術(shù)層面挑戰(zhàn)之外,用戶需求和Chiplet分工不明確、尚未建立規(guī)模經(jīng)濟(jì)的正向循環(huán)等不確定因素,也可能會(huì)導(dǎo)致供給側(cè)不足,缺乏穩(wěn)定多樣的Chiplet供給等問題出現(xiàn),多重困擾下,Chiplet需產(chǎn)業(yè)界一起來努力共建生態(tài)繁榮。

Chiplet對(duì)于中國產(chǎn)業(yè)的機(jī)會(huì)

對(duì)于中國半導(dǎo)體而言,Chiplet被視為中國與國外差距相對(duì)較小的先進(jìn)封裝技術(shù),有望帶領(lǐng)中國半導(dǎo)體產(chǎn)業(yè)在后摩爾時(shí)代實(shí)現(xiàn)質(zhì)的突破,因此,Chiplet技術(shù)也成為了中國半導(dǎo)體企業(yè)的“寵兒”,紛紛走向Chiplet研發(fā)的道路。
華為是國內(nèi)最早嘗試Chiplet的一批公司,海思半導(dǎo)體在早期就與臺(tái)積電合作過Chiplet技術(shù),在技術(shù)封鎖之下,Chiplet可能會(huì)成為華為渡過難關(guān)、保持勁頭的一種解決方案。去年,有消息傳出,華為正在嘗試雙芯片疊加,將利用3DMCM封裝的Chiplet。
除華為之外,也有其他國產(chǎn)半導(dǎo)體公司在此布局。國內(nèi)公司芯動(dòng)科技推出的首款高性能服務(wù)器級(jí)顯卡GPU“風(fēng)華1號(hào)”就使用了INNOLINK Chiplet技術(shù),將不同功能不同工藝制造的Chiplet進(jìn)行模塊化封裝,成為一個(gè)異構(gòu)集成芯片。
此外,芯原科技也是國內(nèi)為數(shù)不多提供Chiplet芯片設(shè)計(jì)的公司。據(jù)了解,其采用Chiplet架構(gòu)所設(shè)計(jì)和推出的高端應(yīng)用處理器平臺(tái)用了12個(gè)月完成了從定義到流片返回。
芯原股份認(rèn)為,后摩爾時(shí)代,Chiplet給中國集成電路產(chǎn)業(yè)帶來了很多發(fā)展機(jī)遇。首先,芯片設(shè)計(jì)環(huán)節(jié)能夠降低大規(guī)模芯片設(shè)計(jì)的門檻;其次,芯原這類半導(dǎo)體IP企業(yè)可以更大地發(fā)揮自身的價(jià)值,從半導(dǎo)體IP授權(quán)商升級(jí)為Chiplet供應(yīng)商,在將IP價(jià)值擴(kuò)大的同時(shí),還有效降低了芯片客戶的設(shè)計(jì)成本,尤其可以幫助系統(tǒng)廠商、互聯(lián)網(wǎng)廠商這類缺乏芯片設(shè)計(jì)經(jīng)驗(yàn)和資源的企業(yè),發(fā)展自己的芯片產(chǎn)品;最后,國內(nèi)的芯片制造與封裝廠可以擴(kuò)大自己的業(yè)務(wù)范圍,提升產(chǎn)線的利用率,尤其是在高端先進(jìn)工藝技術(shù)發(fā)展受阻的時(shí)候,還可以通過為高端芯片提供基于其他工藝節(jié)點(diǎn)的Chiplet來參與前沿技術(shù)的發(fā)展。
張競(jìng)揚(yáng)從市場(chǎng)和供應(yīng)鏈模式層面進(jìn)行分析時(shí)表示,近些年來,美的、格力、格蘭仕等知名家電廠商都在自研或投資芯片的方向上一路狂奔,下游客戶希望能夠更深入地參與到芯片的定制,也希望對(duì)芯片供應(yīng)鏈有更強(qiáng)的掌控。毫無疑問,Chiplet能夠幫助系統(tǒng)廠商通過差異化的定制芯片,來提升自己產(chǎn)品的競(jìng)爭(zhēng)力。但與此同時(shí),對(duì)本來就很內(nèi)卷的芯片設(shè)計(jì)賽道造成了一定的擠壓,芯片公司的形態(tài)會(huì)變得更加多元化,不僅僅是Fabless,IDM和Fab-lite,更加短小輕快的Chiplet供應(yīng)商也有可能出現(xiàn)。因此,Chiplet在給國內(nèi)芯片產(chǎn)業(yè)帶來機(jī)遇的同時(shí),也需要行業(yè)廠商不斷探索與以往不同的盈利模式,靈活調(diào)整以抓住新的發(fā)展機(jī)會(huì)。
產(chǎn)業(yè)界之外,黃樂天副教授從學(xué)界的角度進(jìn)行觀察,在目前工藝演進(jìn)受制于國際形勢(shì)被“卡脖子”的局勢(shì)下,通過研究先進(jìn)封裝在一定程度上“繞開”被卡的技術(shù)難點(diǎn)甚至實(shí)現(xiàn)所謂的“彎道超車”、“換道超車”是很多人自然而然的想法。但集成電路產(chǎn)業(yè)的積累不是短時(shí)間可以完成的,我們吃急功近利的虧已經(jīng)太多了。在如今這樣難得的大好形勢(shì)下,我們更應(yīng)該清楚的認(rèn)識(shí)到Chiplet是產(chǎn)業(yè)發(fā)展的機(jī)會(huì),但這是誰的機(jī)會(huì)?

建議國內(nèi)的從業(yè)者多干實(shí)事少喊口號(hào),多搞開放合作少搞圈地自封。Chiplet不是救市良方也不是靈丹妙藥,它不過是一種技術(shù)發(fā)展的思路而已。這種思路要落到實(shí)處,還是需要經(jīng)過踏實(shí)的、艱苦的努力。現(xiàn)階段Chiplet發(fā)展必然存在多條技術(shù)路線并行的情況。例如蘋果剛推出的M1 Ultra相對(duì)于UCIe就是另外一個(gè)極端?,F(xiàn)階段應(yīng)該少談想法多搞實(shí)務(wù),先走出一條路子來。即便是有問題的,在前進(jìn)的道路上總可以找到一些正確的方向??照?wù)`國,實(shí)干興邦!

寫在最后

通過這篇文章,相信大家進(jìn)一步的了解Chiplet在技術(shù)和生態(tài)方面的進(jìn)展和不足,以及Chiplet對(duì)于國內(nèi)產(chǎn)業(yè)的機(jī)遇和挑戰(zhàn),但縱然也還有很多問題或困惑縈繞在我們心中:
  • UCIe產(chǎn)業(yè)聯(lián)盟當(dāng)前為什么沒有EDA廠商?
  • 蘋果和英偉達(dá)為何沒有參與其中?
  • 是否允許中國廠商參與其中?如果未來出現(xiàn)禁令相關(guān)問題,能否規(guī)避?
  • UCIe如何落實(shí)“開放”二字?
  • 是否會(huì)有專門的UCIe IP對(duì)外授權(quán),幫助其它廠商加入生態(tài)?
  • ...
筆者就以上問題嘗試聯(lián)系了UCIe相關(guān)部門,截止發(fā)稿前尚未收到對(duì)方答復(fù)。大家可對(duì)此發(fā)表自己的觀點(diǎn)和看法,正所謂“交流凝智慧,探討出真知”。

    本站是提供個(gè)人知識(shí)管理的網(wǎng)絡(luò)存儲(chǔ)空間,所有內(nèi)容均由用戶發(fā)布,不代表本站觀點(diǎn)。請(qǐng)注意甄別內(nèi)容中的聯(lián)系方式、誘導(dǎo)購買等信息,謹(jǐn)防詐騙。如發(fā)現(xiàn)有害或侵權(quán)內(nèi)容,請(qǐng)點(diǎn)擊一鍵舉報(bào)。
    轉(zhuǎn)藏 分享 獻(xiàn)花(0

    0條評(píng)論

    發(fā)表

    請(qǐng)遵守用戶 評(píng)論公約

    類似文章 更多